登录注册   忘记密码
查看: 115|回复: 0
上一主题 下一主题

[发布] verilog中在设计中怎么对ram复位时全部赋值为0?

楼主
发表于 2020/8/29 10:41:22 | 只看该作者

在设计时,如果是位宽为1的ram ,可以直接将深度变成位宽的reg型,然后直接赋值,如果是多位宽的,怎么去处理呢?用for循环吗?

验证码
看不清换一张