登录注册   忘记密码

​未知 求解中!

最爱~搬盗踢 | 2017/3/23 15:39:56

我要在testbench中读入一个外部文件作为输入信号,在modelsim仿真中,显示的输入信号一直为 未知 求解中!

202312hujqecibegjjbuii.png.thumb.jpg


  • 马可  |   2017/3/24 9:59:10

    总是显示读取数据失败,代码没看出什么问题,哪位大神救救我吧!